首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 4*4按键扫描电路

4*4按键扫描电路

  • 资源大小:683.22 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

4*4按键扫描电路,用数码管显示0~F,基于VHDL语言设计,包括按键扫描,数码管扫描,数码管显示,按键消抖等代码

文 件 列 表

44键盘扫描电路设计
db
44key
keydesign.qpf
keydesign.qsf
keydesign.sim.rpt
keydesign.vhd.bak
keydesign.vhd
keydesign.map.rpt
keydesign.flow.rpt
keydesign.fit.rpt
keydesign.asm.rpt
keydesign.tan.rpt
key_juzh.vhd.bak
scan_led.vhd
scan_led.vhd.bak
keydesign.map.summary
keydesign.dpf
xiaodou.vhd.bak
keydesign.cdf
keydesign.pin
keydesign.fit.smsg
keydesign.fit.summary
keydesign.pof
keydesign.tan.summary
keydesign.done
keydesign.vwf
VIP VIP
  • huangshu 1天前 成为了本站会员

  • 贾金达 1天前 成为了本站会员

  • 云梦无痕 1天前 成为了本站会员

  • 2天前 成为了本站会员

  • huangshu 2天前 成为了本站会员

  • Wx 1周前 成为了本站会员

  • adalyx 1周前 成为了本站会员

  • 1周前 成为了本站会员

  • 知还 1周前 成为了本站会员

  • zcl 1周前 成为了本站会员

0.237298s