首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 四人抢答器,FPGA,Verilog

四人抢答器,FPGA,Verilog

资 源 简 介

以设计的参考示例为例,当设计文件加载到目标器件后,按下核心板复位按键,表示开始抢答。然后,同时按下S1-S4,首先按下的键的键值被数码管显示出来,对应的LED灯被点亮。与此同时,其它按键失去抢答作用。DE2开发板子

文 件 列 表

四人抢答器
4_snag
读我.txt
VIP VIP
0.203652s