首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通

一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通

资 源 简 介

一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态、待机状态。 (4) 每当接收到有效按键时,蜂鸣器发出提示声。 顺计时在一次计时中可以记录三个不同的结束时间,并能通过按键显示三次所记录的时间。 -err

文 件 列 表

watch
db
countdown.bsf
countdown.vhd
display.bsf
display.inc
display.vhd
keyscan.bsf
keyscan.vhd
time.bsf
time.vhd
watch.asm.rpt
watch.bdf
watch.cdf
watch.done
watch.dpf
watch.fit.rpt
watch.fit.summary
watch.flow.rpt
watch.map.rpt
watch.map.summary
watch.pin
watch.pof
watch.qpf
watch.qsf
watch.qws
watch.tan.rpt
watch.tan.summary
watch.vwf
watch.sim.rpt
VIP VIP
0.203956s