首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL 算术逻辑单元ALU_复旦

VHDL 算术逻辑单元ALU_复旦

  • 资源大小:14.91 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

我是复旦的研究生。这是用VHDL写的ALU,仿真通过,压缩包里包括了每个源代码,而且都有相应的testbench,你直接加入你的工程当中就可以进行验证。设计时。我使用Modelsim环境来编写的。

文 件 列 表

E7
ALU.vhd
ALU_tb.vhd
IDU.vhd
IDU_tb.vhd
inst_ROM.vhd
inst_ROM_tb.vhd
MicroController.vhd
MicroController_sources.f
MicroController_tb.vhd
Register_Bank.vhd
Register_Bank_tb.vhd
VIP VIP
0.203889s