首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > DS18B20的FPGA实现

DS18B20的FPGA实现

  • 资源大小:3.08 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

基于FPGA的 温度传感器 DS18B20接口设计-FPGA DS18B20

文 件 列 表

基于VHDL控制的DS18B20温度测量程序
temperature.vhd
使用说明请参看右侧注释====〉〉.txt

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.194645s