首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 通过VHDL语言的例子,对FPGA的VHDL语言的原型(第六章)是

通过VHDL语言的例子,对FPGA的VHDL语言的原型(第六章)是

  • 资源大小:5.90 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

应用背景FPGA原型的VHDL例子提供一系列清晰,易于遵循的快速代码开发模板;大量的实际例子来说明和强化的概念和设计技术;现实可实施的项目和测试在Xilinx原型板;深入探索和Xilinx PicoBlaze软核微处理器。关键技术本书采用“做中学”介绍VHDL和FPGA技术的概念和设计人员通过一系列的实验方法。

文 件 列 表

ch06
list_ch06_01_02_debounce.vhd
list_ch06_03_db_test.vhd
list_ch06_04_fib.vhd
list_ch06_05_div.vhd
list_ch06_06_bcd.vhd
list_ch06_07_period.vhd
list_ch06_08_slow_freq.vhd
VIP VIP
0.189612s