首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Verilog使用VGA显示器实现推箱子游戏

Verilog使用VGA显示器实现推箱子游戏

资 源 简 介

资源描述采用FPGA 来设计经典的推箱子游戏,在片上ROM 中存储游戏素材并通过驱动VGA 显示器实现游戏界面显示,玩家可通过PS2 键盘上方向键控制小人推动箱子,当所有箱子都到达目的地时游戏结束,同时在LCD 液晶屏实时显示玩家步数。该设计采用verilog作为逻辑描述语言,在Xilinx 公司的Spartan-3E 开发平台上实现了该拖箱子游戏

文 件 列 表

tui_final
_xmsgs
_ngo
xst
xlnx_auto_0_xdb
iseconfig
ipcore_dir
dcm_25m.v
dcm_25m_arwz.ucf
lc.ucf
lcd.v
lcd_display.v
lcd_write_number.v
lcd_write_number_test.v
ps2scan.v
top_tui.bgn
top_tui.bit
top_tui.bld
top_tui.cmd_log
top_tui.drc
top_tui.lso
top_tui.ncd
top_tui.ngc
top_tui.ngd
top_tui.ngr
top_tui.pad
top_tui.par
top_tui.pcf
top_tui.prj
top_tui.ptwx
top_tui.stx
top_tui.syr
top_tui.twr
top_tui.twx
top_tui.unroutes
top_tui.ut
top_tui.v
top_tui.xpi
top_tui.xst
top_tui_bitgen.xwbt
top_tui_envsettings.html
top_tui_guide.ncd
top_tui_map.map
top_tui_map.mrp
top_tui_map.ncd
top_tui_map.ngm
top_tui_map.xrpt
top_tui_ngdbuild.xrpt
top_tui_pad.csv
top_tui_pad.txt
top_tui_par.xrpt
top_tui_summary.html
top_tui_summary.xml
top_tui_usage.xml
top_tui_xst.xrpt
tui_final.gise
tui_final.xise
uart_clock.ucf
usage_statistics_webtalk.html
vga_control.v
vga_timing.v
webtalk.log
webtalk_pn.xml
xaw2verilog.log
VIP VIP
0.208373s