首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于SignalTap II调试SRAM

基于SignalTap II调试SRAM

资 源 简 介

资源描述基于Quartus9.0 SignalTap II调试SRAM。具有传输错误校验代码。

文 件 列 表

verilogsram
simulation
incremental_db
db
serv_req_info.txt
sram_test.asm.rpt
sram_test.cdf
sram_test.done
sram_test.dpf
sram_test.eda.rpt
sram_test.fit.rpt
sram_test.fit.smsg
sram_test.fit.summary
sram_test.flow.rpt
sram_test.map.rpt
sram_test.map.summary
sram_test.pin
sram_test.pof
sram_test.qpf
sram_test.qsf
sram_test.qws
sram_test.tan.rpt
sram_test.tan.summary
sram_test.v
sram_test_assignment_defaults.qdf
tb2_ie_info.xml
VIP VIP
0.197363s