首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 语言和 vhdl 文件

语言和 vhdl 文件

  • 资源大小:85.38 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 语言 文件

资 源 简 介

库 ieee ; 使用 ieee.std_logic_1164.all ; 使用 ieee.std_logic_arith.all ; 使用 ieee.std_logic_unsigned.all ; 实体三叶因子 1 是 端口 ( 赤  角: 在 std_logic ; rst: 在 std_logic ; q1: std_logic 出) ; 结束三叶因子 1 ; 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报

文 件 列 表

new_1
3bitcountertff.vhd
alu_4bit_vhdl_exp-20.vhd
alu_8bit_increment_decrement.vhd
array.v
dff.v
dff1.v
dff1.vhd
dff_rtl.doc
ripplecounter_tff_verilog.v
selectcount.v
syncounter_jkff_verilog.v
VIP VIP
0.208009s