首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 双精度浮点核心Verilog

双精度浮点核心Verilog

  • 资源大小:377.66 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 浮点 精度 核心

资 源 简 介

应用背景IEEE-754标准的双精度浮点单元。4操作(加法,减法,乘法,除法)的支持,以及4的舍入模式(最近,0,Inf,-Inf)。本机还支持非规格化数,这是罕见的因为大多数浮点单位对非规格化数为零。单位可以运行在185 MHz的时钟频率高达一个Virtex5目标设备。关键技术特征•该单元被设计为同步到一个全局时钟。所有寄存器都在时钟的上升沿更新;•所有寄存器可以重置一个全局复位;的乘法运算是破碎的利用25×18多块在Virtex5 dsp48e片。25 x 18乘补码块将进行24×17无符号乘法,所以它需要9 dsp48e切片进行53×53位乘法需要加倍的双精度浮点数的 ;- fpu_double V是顶层模块。输入信号是;1)时钟& nbsp;2)RST  ;•3)使能及;(4)rmode舍入模式) ;5)fpu_op(操作码) ;6)OPA(64位浮点数) ;7)OPB总线(64位浮点数) ;•输出信号是;(1)输出(64位浮点输出);(2)准备好了(输出准备好);3)底流 ;•4)溢出;5)精确 ;6)例外及;•7)无效和;•每个操作都需要以下数量的时钟周期来完成;•1、另外:20个时钟周期;•2、减法:21个时钟周期;•3、乘法:24个时钟周期;•4、71个时钟周期;这比一些浮点单元长,但支持非规格化数需要几个逻辑层次和较长的潜伏期。

文 件 列 表

double_fpu
web_uploads
trunk
tags
branches
VIP VIP
0.288370s