首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL时序电路

VHDL时序电路

  • 资源大小:333.14 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 算法 vhdl 电路 时序

资 源 简 介

此电路是一个非常简单的VHDL时序电路,通过该电路能够清楚的反应VHDL的时序原理以及最基本、最简单的应用方法、

文 件 列 表

vhdl时序
Block1.bdf
MUX4.bsf
UPCOUNTER1_10.bsf
db
incremental_db
serv_req_info.txt
shixu.asm.rpt
shixu.bsf
shixu.done
shixu.fit.rpt
shixu.fit.summary
shixu.flow.rpt
shixu.map.rpt
shixu.map.summary
shixu.pin
shixu.pof
shixu.qpf
shixu.qsf
shixu.qws
shixu.sim.rpt
shixu.sof
shixu.tan.rpt
shixu.tan.summary
shixu.vhd
shixu.vhd.bak
shixu.vwf
undo_redo.txt
VIP VIP
0.209368s