首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 这是用VHDL实现的8位加法器,对新手有点帮助。

这是用VHDL实现的8位加法器,对新手有点帮助。

  • 资源大小:155.37 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

这是用VHDL实现的8位加法器,对新手有点帮助。-This is achieved using VHDL adder 8, a little help to novices.

文 件 列 表

add8
db
add4b.vhd
add8.asm.rpt
add8.bdf
add8.done
add8.fit.eqn
add8.fit.rpt
add8.fit.summary
add8.flow.rpt
add8.map.eqn
add8.map.rpt
add8.map.summary
add8.pin
add8.pof
add8.qpf
add8.qsf
add8.qws
add8.sim.rpt
add8.sof
add8.tan.rpt
add8.tan.summary
add8.vhd
add8.vwf
VIP VIP
0.200344s