首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Ripple carry adder n bits

Ripple carry adder n bits

  • 资源大小:123.69 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 嵌入式系统 vhdl

资 源 简 介

这是n位纹波进位加法器的vhdl代码。我已经使用了通用的样式来编码这个加法器,它是一个纹波进位加法器,从一个全加器到另一个全加器的进位纹波在方向上与所使用的位宽度成比例。

文 件 列 表

ripple n carry m1.vhd
rca.jpg
VIP VIP
0.197722s