首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 对EEPROM进行读写的verilog程序

对EEPROM进行读写的verilog程序

资 源 简 介

I2C 作为一种非常通用的总线,其应用范围非常广泛,我们这里用FPGA 来做master 这种应用也非常少见,但是,我们这里要强调的是我们是通过它来学习一种接口的描述方法。 1.I2C_CTL.v 为顶层文件: 分别例化,I2C_WRITE  和 I2C_READ 两个模块。 其中因为 SDA 信号是双向信号,我们在子模块中没有定义inout 而是在顶层模块中才对此信号作三态处理。 2. 例程的功能是:产生 16 个数据(如上图起如数据是77,然后,78,79。。。。)一共16位数据一次性写入到EEPROM中。写完成后,延时100ms后启动读功能,读完16个数据后,通过串口以115200的波特率发出去。串口超级终端设置如下:baud:115200,;Hex显示,8bits数据位,1位停止位。

文 件 列 表

src_24C08
I2C_CTL.v
I2C_CTL.v.bak
I2C_CTL_tb.v
I2C_READ.v
I2C_READ.v.bak
I2C_WRITE.v
I2C_WRITE.v.bak
UART_TX.v
UART_TX.v.bak
VIP VIP
0.383406s