首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 数码管显示程序,可以显示当前的数值,可以动态显示和静态显示 可以选择显示方式...

数码管显示程序,可以显示当前的数值,可以动态显示和静态显示 可以选择显示方式...

  • 资源大小:852.00 B
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

数码管显示程序,可以显示当前的数值,可以动态显示和静态显示 可以选择显示方式-Digital tube display program can display the current value, you can dynamically display and static displays can choose to display

文 件 列 表

leds.v
VIP VIP
0.191342s