首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > Matlab > 1. 了解FIR滤波器的原理及使用方法。2. 了解使用Matlab语言设计FIR滤波器的方法。了解DSP对FIR滤波器的设计及编程方法。...

1. 了解FIR滤波器的原理及使用方法。2. 了解使用Matlab语言设计FIR滤波器的方法。了解DSP对FIR滤波器的设计及编程方法。...

  • 资源大小:527.76 kB
  • 上传时间:2021-06-29
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: DSP设计 matlab

资 源 简 介

1. 了解FIR滤波器的原理及使用方法。2. 了解使用Matlab语言设计FIR滤波器的方法。了解DSP对FIR滤波器的设计及编程方法。-1.familiar with FIR. 2.familiar with using matlab design FIR 3.familiar with DSP program for FIR

文 件 列 表

FIR滤波器.doc
VIP VIP
0.199145s