首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vhdl语言描述分频器,实现2、4、8、16……分频,经过实践

vhdl语言描述分频器,实现2、4、8、16……分频,经过实践

资 源 简 介

vhdl语言描述分频器,实现2、4、8、16……分频,经过实践-description language VHDL divider, 2,4,8,16 ... ... realize frequency, through the practice of

文 件 列 表

clk_div
clkdiv.acf
clkdiv(1).cnf
clkdiv(2).cnf
clkdiv(3).cnf
clkdiv(4).cnf
clkdiv(5).cnf
clkdiv.cnf
LIB.DLS
U0793083.DLS
U7972987.DLS
U9529935.DLS
clkdiv.fit
clkdiv.hif
clkdiv.jam
clkdiv.jbc
clkdiv.mmf
clkdiv.ndb
clkdiv.pin
clkdiv.pof
clkdiv.rpt
clkdiv.snf
CLKDIV.sym
clkdiv.vhd
VIP VIP
0.277134s