首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > PHP > Xilinx FPGA开发实用教程(第2版)

Xilinx FPGA开发实用教程(第2版)

  • 资源大小:100.00M
  • 上传时间:2021-08-08
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

Xilinx FPGA开发实用教程(第2版)DA工程|技|术|丛|书本XILINX FPGA: DEVELOPMENT AND APPLICATION (SECOND EDITION)XilinX EPGA开发实用教程(第2版)徐文波田耘编著Xu Wenbo Tian Yuni苯大要出版社北京内容简介本书系统地论述了 Xilinx FPGA开发方法、开发工具、实际案例及开发技巧,内容涵盖 Xilinx器件概述、 Verilog HDL开发基础与进阶、 Xilinx FPGA电路原理与系统设计、基于 ISE Foundation的逻辑设计、时序分析、逻辑开发专题、基于EDK的嵌入式系统设计基于 System Generator的DSP系统设计数字信号处理专题以及 SERDES技术专题共10章。各章均以实战开发为目的,结合最新版本的软硬件特征;覆盖了FPGA的各主要应用领域。配套光盘中包含了书中所有的实例代码,便于读者快速动手实践。书中融汇了作者多年的工程开发经验,希望能够极力帮助读者提高工程开发能力本书适合作为电子信息工程、通信工程、自动化计算机科学与技术等相关专业的高年级本科生及研究生的教学用书,也可以作为从事FPGA设计工作的工程师的参考图书。本书封面贴有清华大学出版社防伪标签,无标签者不得销售。版权所有侵杈必究,侵权举报电话:010-627829891370121933阳书在版编目(cIP)数据Xilinx FPGA开发实用教程/徐文波,田耘编著,-2版.-北京:清华大学出版社,2012.7EDA工程技术丛书ISBN978-7-302-28643-1I.①x…Ⅱ.①徐…②田…Ⅲ.①可编程序逻辑器件一系统开发一教材Ⅳ.①TP32.1中国版本图书馆cIP数据核字(2012)第074825号任编辑:盛东亮封面设计:李召霞责任校对:白蕾责任印制:王静恰出版发行:清华大学出版社patt:http://www.tup,comcn,http://www.wqbook.com地址;北京清华大学学研大厦A座邮論:100084杜总机:010-62770175邮购:010-62786544投稿与读者服务:010-62776969, C-servIce@tup. tsinghua,edu,ch质量反:010-62772015,zhiliang@tup.tsinghua.edu.cn课件下霞:htp://www.tup.com.cn,010-62795954印装者:北京密云胶印厂经销:全国新华书店开本:185mmX260mm印张:32.25字數;762千字附光盘1张)版次:2008年11月第1版2012年7月第2版印次:2012年7月第1次印刷印数:9001~12000定价:54,50元产品编号:043592-01序妾灵思(Xnx)公司作为可编程器件(PLD)的领导厂商,占有超过50%的市场份额,为客户提供可编程逻辑芯片( CPLD FPGA和PROM)、软件设计工具、不同等级的知识产权核( IP Core)以及系统级的完整解决方案随着工艺和设计水平的不断提高,FPGA在数字系统中所扮演的角色也从逻辑胶合者提升到处理核心。从2006年起,赛灵思公司的FPGA就涵盖了逻辑应用数字信号处理以及嵌入式三大应用领域。到目前为止,赛灵思已成为完整的解决方案提供者。例如,量产的 Spartan6系列FPGA采用45mm工艺,广泛应用在中低规模系统中,如机器视觉、机顶盒以及广泛的多媒体处理等;量产的 Virtex5/6系列FPGA分别采用65nm、40nm工艺,主要面向高端应用,如高速互联网络、无线通信、宽带接人以及汽车工业等。28nm的7系列FPGA( Artix、 Kintex和 Virtex三个低、中、高系列)也已逐渐量产,进步提升FPGA系统设计能力。此外,zynq7000可扩展处理平台(EPP将 ARM CortexA9双核处理器系统与可编程逻辑紧密集成在一起,为业界带来革命性的创新解决方案。基于赛灵思公司的领先技术,更多的工程师和研究人员已加人到赛灵思FPGA的开发队伍中。在过去四年中,赛灵思公司通过大学和开源社区 Openhard,开展了三届开源硬件创新大赛以及多个网络研讨会,但切人点都比较零散,不能形成系统化知识体系。《 Xilinx fpga开发实用教程》第一版于2008年出版,弥补了上述不足,帮助广大技术人员、在校的研究生和高年级本科生尽快掌握 Xilinx FPGa的开发流程连续印刷4次,深受读者欢迎。由于FPGA技术发展迅速因此作者更新了原书内容,并以最新的IsEl3x版本和量产的6系列器件为例进行介绍,更加符合FFGA发展趋势。整体而言,本书具有以下三项特色:首先,从逻辑设计、数字信号处理、嵌人式系统设计和高速连接四个方面系统地介绍了 Xilinx FPGA的开发与应用,条理清晰、思路明确,符合FPGA目前和未来的发展趋势;其次,较为详细地介绍了 Xilinx FPGA的开发技巧,融入了作者的工程开发经验,对于初学者和工程开发人员来讲都具有较强的可读性;最后,极为全面地介绍了赛灵思公司的ISE、 System Generator以及EDK开发软件,非常系统和完整。因此,对于在校研究生、高年级本科生及从事FPGA开发的工程师来说,本书是一本较为理想的EDA教材和工程工具书,我郑重地将其推荐给大家!希望通过本书的出版,使更多的读者掌握赛灵思FPGA的开发技能更好地促进FPGA开发技术的普及和推广赛灵思( Xilinx)公司中国区大学计划经理谢凯年博士2012年6月前言2008年10月,作者有幸聆听了 Xilinx公司全球 cTO Ivo bolsena先生在清华大学所作的题为“FPGA: The future platform for transforming, transporting and computing的演讲,感触颇深。 Iyo bolsena先生指出了FPGA的三大应用领域:数字处理中的信号变换、高速交换中的数据收发以及求解中的复杂计算。作者带着感慨基于当时的ISE9.1软件版本,编写了《 Xilinx FPga开发实用教程》一书,受到读者青睐,多次重印。经过3年多的发展, Xilinx公司的软、硬件均有大幅升级,ISE软件已升级到13.x版本,FPGA已经发展到28nm的“7”系列芯片。因此作者在第1版的基础上,结合ISE软件和FPGA特征,重新整理了原稿,删除了部分冗余陈旧的内容,形成了此次的修订版版本。同第1版一样,修订版版本中的全部内容都是作者实际项目开发经验和 Xilinx公司各类文档、书籍的结合体,全部信息几乎都可以从 Xilinx网站以及 Google上找到渊源,不过我们仍然向您推荐本书,因为网络的信息是分散的、杂乱的,且正确性不是100%的,本书各章内容的安排是从大量的实践中总结出来的,循序渐进,条理清楚,且都经过作者验证,我们的目的就是从 Iyo bolsena先生的观点出发,结合项目开发,将网络上尽可能多的相关信息以相对较高的质量组合起来。本书适合电子、通信以及计算机等相关专业的研究生和高年级本科生使用,同时也适合于从事 Xilinx系列FPGA设计和开发的工程师。毫无疑问,市场上已经有很多关于FPGA设计的书籍,我们也不认为本书是其中最重要的一本,但我们意识到FPGA开发定要结合芯片特点以及提供商的诸多建议和协议,只有这样才能真正掌握其开发之道。在第1版中,由于未配备光盘,缺少实际的工程和电子版本代码,不利于快速学习,广大读者多次给作者指出这一不足。因此,在修订版本中,我们将全书所有内容都移植在小巧的S6CARD板卡(基于 Spartan6LX9的开发板,和身份证大小一样,通过USB供电和调试,无须下载线缆)上,并将所有的工程文件附在光盘上,为读者提供通用的验证平台。S6CARD板卡的详细信息可参考与非网相关介绍(htp://www, opens,orghop/index. php? action=product &id=248)全书各章由徐文波、田耘共同完成编写。此外,在成文过程中参考了较多的书籍、论文和网络文献,在此向广大作者表示深深谢意。FPGA技术博大精深且发展迅猛,不可能通过一本书进行全方位的详细介绍,更多还需要读者自己动手实践。由于作者水平有限,FPGA技术发展迅速,书中难免存在不妥之处,敬请广大读者指正。作者2012年5月日录第1章 Xilinx醫件概述旾旾噩酽音旾·鲁曹曹曹普旾聾虚翻·曹昏鲁鲁鲁普·普昏塑疆番音噩面量■鲁音鲁番P音晋晋量量量普晋晋普晋番1.1可编程逻辑件基础…………1.1.1可编程逻辑器件的基本情况1.1.2可编程逻辑器件的发展历史…21.1.3PLD开发工具鲁鲁鲁鲁鲁鲁兽鲁鲁申鲁鲁·鲁·31.14典型FPGA开发流程1.2 Xilinx FPGA芯片……鲁鲁鲁兽鲁鲁要鲁市鲁中鲁鲁善鲁鲁鲁「鲁鲁善非。鲁鲁鲁善鲁曲息·s息吾鲁吾申要翻61.2.1FPGA的工作原理…2.2 Xilinx FPGA心片结构1.2.3软核硬核及固核…131.24Ⅻiinx主流FPGA………………………………………141.3Xin软件工具鲁4·鲁在鲁鲁暨鲁鲁鲁鲁要量中申中电甲鲁鲁是普鲁鲁鲁鲁鲁曹鲁鲁鲁善鲁是曾量鲁曲鲁音番鲁由也曲普鲁鲁鲁曹鲁1813.1 ISE Foundation软件132EDK开发工具…………………………………………201.3.3 System Generator DSP工具201.3.4 ChipScope Pro………………201.3.5 PlanAhead………………………………………………………214本书例验证平台——S6CARD开发板……………………………221.4.1S6ARD开发板的组成与功能221.4.2S6CARD板卡引脚约束说明25本章小结26第2章Ver| og HDL开发基础与进阶2.1ver| log HDL语育2.1.1 Verilog HDL语言的历史非通南和。如日画2.1.2 Verilog HDL的主要功能…282.1.3Ver| log HDL和HDL的区别…292.1.4ver|gHDL设计方法2.2 Verilog HDL基本程序结构………2.3 erilog HDL语言的数据类型和运算符……………322.3.1标志符潘番即bD322.3.2数据类型+……“t““32目录2.3,3模块端口………·34234常量集合……s3423.5运算符和表达式24 Verilog HDL语言的描述语句…24.1结构描述形式………412.4.2数据流描述形式…4224.3行为描述形式244混合设计模式…502.5 Verilog HDL建模与调试技巧"…暑『新击啬群中d“一看曹25,1双向端口的使用和仿真…………………………5125.2阻骞赋值与非阻寥赋值鲁鲁鲁普曾d日53253输入值不确定的组合逻辑电路2.54数学运算中的扩位与截位操作562.5.5利用块RAM来实现数据延迟256测试向量的生成…··幽物非自着市自看自。。。群·;售自曲·自D非。鲁鲁。即5926 Verilog HDL常用程序示例"·+-··+++·++++aa"日;·26.1数字电路中基本单元的FPGA实现2.6.2基本时序处理模块2.7Xiin件原督的使用本章小结……………………………………………………74第3章 Xilinx FPGA电路原理与系统设计3.1FPGA配置电路………………………3.1.1 Xilinx FPGA配置电路…………753.1.2 Xilinx FPGA常用的配置引脚……3.1.3 Xilinx FPGA配置电路分类……………………………………………783.2JTAG电路的原理与设计…………………………………………803.2.1JTAG电路的工作原理3.2.2 Xilinx JTAG下蒙线823.3FPGA的常用配置电路853.3.1主串模式一最常用的FPGA配置模式…………………86332SP串行Fash配置模式···非容4·.甲即量+平形干最甲中中母+中爸“中9133.3从串配置模式………………………97目录画3.3.4主字节宽度并行配置模式983.35JTAG配置模式1013.3.6 System ACE配置方案……………………………10234 iMPACT软件使用………………11073.4.1 iMPACT软件…………………………………………………………1073.4.2 MPACT中的JTAG配置操作3.4.3 iMPACT中的 Xilinx PROM配置操作………………1123.4.4 IMPACT中的 SPI Flash配置操作……………1143.4.5FPGA配置失败的常见问题垂p最垂看新语最卡量量面面番「最面由看面■d曲看1173.5从配置PROM中读取用户数据………………………………11835,1从PROM中引导数据………………………………11835.2硬件电路设计方法11935.3软件操作流程…………121本章小结鲁t曲+世当··甲4甲品和中↓靳画击由翻喜看音自昌自普新非着…………………………………122第4章基于| SE Foundation的逻辑设计………4.1sE套件1234.1.11sE的特点1234.1.2|sE的功能1244.1.3|SE的安装1254.1.4|SE的用户界面…1254.2甚于sE的设计输入…1264.2.1新建工程…1264.2.2代码输入……………………………………1284.2.3代码模板的使用…鲁曾曾中·+中白中鲁由+··曾·当··曾中·曾也·世42.4 Xilinx IP Core的原理与应用………1314.3|sE基本操作…1354.3.1基于 Xilinx XST的综合……1354.3.2甚于lSm的仿真1374.3.3基于|SE的实现………看看即毒申。着8非非非自即非會自非自·鲁非自非口·自鲁自看自1404.34基于目标和策略的设计方法…143435基于 SmartGuide的设计方法1464.3.6比特文件的生成…鲁目录43.7基于 IMPACT的芯片配置438功耗分析以及 XPower的使用1554.4约束4.4.1约束文件……1584.4.2UCF文件的语法说明…………………………………………1594.4.3引脚和区城约束语法……………………………………………1614.4.4时序约束语法………………………………………………1634.5调试利器— ChipScope Pro1674.5.1 hip Scope Pro工作原理……………1674.5.2 Chip Scope Pro操作流程击画甚,↓斗击1694.5.3 Chip Scope Pro开发实例……1714.6.lSE与第三方EDA软件………………………………………1794.6.1 Modelsim软件的使用…由自tt4.6.2Mesm和|SE的联合开发流程1834.6.3 MATLAB软件的使用………………1834.64lSE与 MATLAB的联合使用…………………………………………1844.65 MATLAB、 Modelsim和SE联合开发实例………………186本章小绾。暴希聊着■音唱唱鲁曙而婚番垂备番194第5章时序分析5.1时序分析的作用和原理……1955.1.1时序分折的作用………………………………………………1955.1.2静态时序分析原理………………………………………………1965.1.3时序分析的基础知识…………………………………………1975.2 Xilinx FPGA中的时钟资源………20352.1全局时钟资源20352.2第二全局时钟资源……………………………………………2065.3sE时序分析53.1时序分析器的特点………………………………207532时序分析的文件类型20B533时序分析器的调用与用户界面…………………………………2085.3.4提高时序性能的手段………………………………………214本章小结…………………218
VIP VIP
0.232972s