首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL语言教程(精华)

VHDL语言教程(精华)

  • 资源大小:1.08M
  • 上传时间:2021-08-11
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

VHDL语言的简化教程pdf,通过文档可以全面了解VHDL的语法。VHDL概述:●●●●ⅤHDL→Ⅴ HSIC Hardwarter Description LanguageⅤHSIC→Ⅴ ery High speed integrated circuitVHDL是美国国防部在20世纪80年代初为实现其高速集成电路硬件ⅤHSIC计划提出的描述语言;IEEE从1986年开始致力于ⅤHD标准化工作,融合了其它ASIC芯片制造商开发的硬件描述语言的优点,于93年形成了标准版本( IEEE std1164)。1995年,我国国家技术监督局推荐ⅤHDL做为电子设计自动化硬件描述语言的国家标准。●●●●VHDL优点●●0覆盖面广,系统硬件描述能力强,是一个多层次的硬件描述语言;VHDL语言具有良好的可读性,既可以被计算机接受,也容易被人们所理解;ⅤHDL语言可以与工艺无关编程;VHDL语言已做为一种IEEE的工业标准,便于使用、交流和推广。VHDL语言的不足之处设计的最终实现取决于针对目标器件的编程器,工具的不同会导致综合质量不一样31VHDL语言基础●●●●3.1.1标识符( Identifiers)●●0标识符用来定义常数、变量、信号、端口、子程序或参数的名字,由字母(A~z,a-z)、数字(0-9)和下划线()字符组成。要求:●首字符必须是字母未字符不能为下划线●不允许出现两个连续的下划线不区分大小写●ⅥHDL定义的保留字(关键字),不能用作标识符●标识符字符最长可以是32个字符。注释由两个连续的虚线(--)引导关键字(保留字)●●●●关键字( keyword)是VHDL中具有特别含义的单词,只●●0能做为固定的用途,用户不能用其做为标识符。BJ]0: ABS, ACCESS, AFTER, ALL, AND, ARCHITECTUREARRAY ATTRIBUTE. BEGIN. BODY BUFFER BUS CASECOMPONENT, CONSTANT, DISCONNECT, DOWNTO, ELSEELSIF END ENTITY EXIT. FILE. FOR. FUNCTIONGENERIC. GROUP IF INPURE. IN. INOUT. IS. LABELLIBRARY LINKAGE. LOOP MAP MOD. NAND. NEW. NEXTNOR NOT NULL. OF ON OPEN OR OTHERS OUTPACKAGE. POUT. PROCEDURE. PROCESS. PURE. RANGERECODE. REM REPORT RETURN ROL. ROR SELECTSHARED SIGNAL SLA SLL SRA SUBTYPE. THENTRANSPORT. TO. TYPE UNAFFECTED. UNITS UNTIL. USEVARIABLE WAIT. WHEN. WHILE. WITH. XOR XNOR3.1.2数据对象( Date Objects)●●●●数据对象包括常量、变量、信号和文件四种类型。常量 Constant常量是对某一常量名赋予一个固定的值,而且只能赋值一次。通常赋值在程序开始前进行,该值的数据类型则在说明语句中指明。Constant常数名:数据类型:=表达式Constant vcc:real:=5.0;-定义vcc的数据类型是实数,赋值为5.0VConstant bus width: integer:=8;-定义总线宽度为常数8常量所赋的值应和定义的数据类型一致;常量在程序包、实体、构造体或进程的说明性区域內必须加以说明。定义在程序包内的常量可供所含的任何实体、构造体所引用,定义在实体说明内的常量只能在该实体内可见,定义在进程说明性区域中的常量只能在该进程内可见。变量 ariable●●●●变量只能在进程语句、函数语句和过程语句结构中使用。变量的赋值是直接的,非预设的,分配给变量的值立即成为当前值,变量不能表达“连线”或存储元件,不能设置传输延迟量。变量定义语句Variable变量名:数据类型:=初始值;Variable count: integer0to255:=20;-定义counηt整数变量,变化范围0255,初始值为20。变量赋值语句:目标变量名:=表达式;x:=10.0;-实数变量赋值为10.0Y:=1.5+x;-运算表达式赋值,注意表达式必须与目标变量的数据类型相同A(3to6):=(“1101”);-位矢量赋值信号 Signa信号表示逻辑门的输入或输出,类似于连接线,也可以表达存/储元件的状态。信号通常在构造体、程序包和实体中说明。信号定义语句Signa信号名:数据类型:=初始值Signal clock:bit:=‘0’;-定义时钟信号类型,初始值为0Signa| count: BIT VECTOR(3 DOWNTO0);-定义 count为4位位矢量信号赋值语句:目标信号名<=表达式;x<=9z<= x after5ns;-在5ns后将x的值赋予z312数据类型●●●●VHDL的预定义数据类型●●0在VHDL标准程序包 STANDARD中定义好,实际使用过程中,已自动包含进vHDL源文件中,不需要通过USE语句显式调用。●布尔:( Boolean)TYPE BOOLEAN IS( FALSE,TRUE);-取值为 FALSE和TRUE,不是数值,不能运算,一般用于关系运算符●位:(BitTYPE BIT IS(0,1);-取值为0和1,用于逻辑运算●位矢量:( Bit vector)TYPE BIT VECTOR| S ARRAY( Natural range<)OFBT;-基于Bit类型的数组,用于逻辑运算SIGNAL a: Bit vector(0 To 7); SIGNAL a: Bit Vector(7 DOWNTo 0)

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.183990s