首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL

CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL

  • 资源大小:125 K
  • 上传时间:2021-12-07
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: CPLD_EPM 7064 VHDL 程序

资 源 简 介

CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.177882s