首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 组成原理大作业--基于MIPS的运算器设计

组成原理大作业--基于MIPS的运算器设计

  • 资源大小:2990 K
  • 上传时间:2022-02-02
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: MIPS 运算器

资 源 简 介

组成原理大作业--基于MIPS的运算器设计,内附详细设计文档,包含设计文档和使用手册,主程序,测试程序,还有设计的框图等。实现了可以执行基本的MIPS有关运算器相关的指令共17条,用Verilog编写。

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.197933s