首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > A code writing by Verilog which can find medium value. With a C file to see the simulation results.

A code writing by Verilog which can find medium value. With a C file to see the simulation results.

  • 资源大小:12 K
  • 上传时间:2022-03-02
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: simulation Verilog writing results

资 源 简 介

A code writing by Verilog which can find medium value. With a C file to see the simulation results. A co-design example of C and Verilog.

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.213906s