首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 是用VHDL编写的电子时钟

是用VHDL编写的电子时钟

  • 资源大小:406 K
  • 上传时间:2022-04-27
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL 编写 电子时钟

资 源 简 介

是用VHDL编写的电子时钟,用两个键控制,在选中调节时,该位还闪烁。

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.166875s