首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 在VHDL下实现锁相环的源码和说明文档.通常用于分频或倍频时进行相位锁定.

在VHDL下实现锁相环的源码和说明文档.通常用于分频或倍频时进行相位锁定.

  • 资源大小:165 K
  • 上传时间:2022-05-03
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL 锁相环 源码 文档

资 源 简 介

在VHDL下实现锁相环的源码和说明文档.通常用于分频或倍频时进行相位锁定.

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.186909s