首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 使用VHDL语言

使用VHDL语言

  • 资源大小:55 K
  • 上传时间:2022-08-14
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL 语言

资 源 简 介

使用VHDL语言,对Altera公司的DE2开发板进行开发,本例实现了对板上7段数码管的显示,在niosiiIDE上基于硬件实现小灯的循环亮灭

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.281674s