首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于vhdl的移位寄存器设计

基于vhdl的移位寄存器设计

  • 资源大小:1 K
  • 上传时间:2023-01-21
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: vhdl 移位寄存器

资 源 简 介

16位带有并行预置功能的右移移位寄存器,CLK1是时钟信号, LOAD是并行数据使能信号,QB是串行输出端口

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.318445s