首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 本电路为基于AT89C52的温度控制系统。 控制系统采用模糊控制器实现。 采用PT100铂电阻温度传感器测量温度。 铂电阻温度传感器的调理电路以子电路 的形式给出FRONT-AMP。 其中引

本电路为基于AT89C52的温度控制系统。 控制系统采用模糊控制器实现。 采用PT100铂电阻温度传感器测量温度。 铂电阻温度传感器的调理电路以子电路 的形式给出FRONT-AMP。 其中引

  • 资源大小:52 K
  • 上传时间:2023-01-27
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: FRONT-AMP 100 89C C52

资 源 简 介

本电路为基于AT89C52的温度控制系统。 控制系统采用模糊控制器实现。 采用PT100铂电阻温度传感器测量温度。 铂电阻温度传感器的调理电路以子电路 的形式给出FRONT-AMP。 其中引脚P3.4用于输出加热器控制信号, 引脚P3.5用于输出风扇控制信号。 DISPLAY1用于显示设定温度值; DISPLAY2用于显示实测温度值; 按键功能如下: #1:功能控制按钮。若按键未被按下, 系统执行控制子程序运行;若按键 被按下,则转入键盘处理子程序运 行。 #2:操作选择按钮。若按键未被按下, 对个位进行操作;若按键被按下, 则对十位进行操作。 #3:加一按钮。 #4:减一按钮。

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.177772s