首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vc++与vhdl代码

vc++与vhdl代码

  • 资源大小:937 K
  • 上传时间:2023-05-28
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: vhdl vc 代码

资 源 简 介

vc++与vhdl代码,cpld接受pc串口指令,输出pwm信号控制伺服电机.双通道,各128级.使用了扩展ascii码

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.321774s