首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 该程序为用vhdl语言编写的彩灯控制程序! 通过状态机实现三个彩灯的状态装换

该程序为用vhdl语言编写的彩灯控制程序! 通过状态机实现三个彩灯的状态装换

  • 资源大小:2 K
  • 上传时间:2023-06-02
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: vhdl 程序 状态 彩灯控制

资 源 简 介

该程序为用vhdl语言编写的彩灯控制程序! 通过状态机实现三个彩灯的状态装换,红灯亮2秒,绿灯亮3秒,黄灯亮1秒! 时钟频率为1HZ! 通过该程序也可以改成交通灯的情况

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.149122s