首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示

数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示

  • 资源大小:99 K
  • 上传时间:2023-07-21
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL plj vhd 数字频率计

资 源 简 介

数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.191791s