首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > EDA实验--ROM实验:利用-MegaWizard Plug-In Manager创建一个256×16的ROM

EDA实验--ROM实验:利用-MegaWizard Plug-In Manager创建一个256×16的ROM

  • 资源大小:5 K
  • 上传时间:2023-09-06
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: MegaWizard ROM Manager Plug-In

资 源 简 介

EDA实验--ROM实验:利用-MegaWizard Plug-In Manager创建一个256×16的ROM,并对ROM进行初始化。本实验中ROM初始化为2550-0,256个数依次递减10,PSW1为CLKEN,按下停止读出数据。通过编程读出ROM中的内容并在显示器上显示。

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.161764s