首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 利用VHDL语言编写的一个16分频器

利用VHDL语言编写的一个16分频器

  • 资源大小:26 K
  • 上传时间:2023-09-20
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL 语言 编写 分频器

资 源 简 介

利用VHDL语言编写的一个16分频器,另外可以在程序中修改为任意2N的分频器

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
1.126776s