首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 8051VHDL代码

8051VHDL代码

  • 资源大小:0 K
  • 上传时间:2024-04-10
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: 8051 VHDL 代码

资 源 简 介

8051参考设计,与其他8051的免费IP相比,文档相对较全,Oregano System 提供 This is version 1.3 of the MC8051 IP core. September 2002: Oregano Systems - Design & Consulting GesmbH Change history: - Improved tb_mc8051_siu_sim.vhd to verify duplex operation. - Corrected problem with duplex operation in file   mc8051_siu_rtl.vhd

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.214491s