首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 这个是vhdl的彩灯实例程序

这个是vhdl的彩灯实例程序

  • 资源大小:102 K
  • 上传时间:2024-04-16
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: vhdl 彩灯 实例程序

资 源 简 介

这个是vhdl的彩灯实例程序,里面涵盖了48种的彩灯变化,通过了maxplus的验证,并且在机上实验通过

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.213059s