首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > CF VHDL The CF+ design was designed using the timing diagrams of the Compact Flash specification re

CF VHDL The CF+ design was designed using the timing diagrams of the Compact Flash specification re

  • 资源大小:685 K
  • 上传时间:2024-04-28
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: specification the designed diagrams

资 源 简 介

CF VHDL The CF+ design was designed using the timing diagrams of the Compact Flash specification rev. 1.4, Analog Devices ADSP-218xN DSP Microcomputer specification, and the Intel StrataFlash Memory 28F320J3 specification.

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.204061s