首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > C/C++/VC++ > 代码用Verilog可发现中值写作。用一个C++文件看…

代码用Verilog可发现中值写作。用一个C++文件看…

资 源 简 介

A code writing by Verilog which can find medium value. With a C file to see the simulation results. A co-design example of C and Verilog.

文 件 列 表

Find medium value
hw2
VIP VIP
0.182762s